1

台积电“攻防战”

 3 months ago
source link: https://www.36kr.com/p/2639225703251077
Go to the source link to view the article. You can view the picture content, updated content and better typesetting reading experience. If the link is broken, please click the button below to view the snapshot at that time.
台积电
未披露上海市2003-08
集成电路制造服务模式提供商

根据TrendForce发布的2023年第三季全球前十大晶圆代工排名来看,台积电依然占据绝对优势,保持着晶圆代工领域的全球霸主地位,稳坐行业头把交椅。

interlace,1

长期以来,在摩尔定律的驱动下,晶圆厂一直紧追先进工艺,这场决赛的最后仅剩台积电、三星和英特尔,在先进制程节点展开肉搏。

如今,随着AI、高性能计算等新兴技术的驱动,晶圆代工产业先进制程的重要性日益凸显。据业界预测,全球芯片制造产能中,10nm以下制程占比将会大幅提升,将由2021年的16%上升至2024年近30%。

更先进的芯片能撬动巨大的利润空间。在此趋势下,晶圆代工巨头将面临怎样的起伏?台积电会因此继续“封神”吗?多面出击的三星和英特尔,又将分得几杯羹?

在这场晶圆代工行业的反击和保卫战中,代工三巨头动作频频。

1.三星祭出“三板斧”

早在2019年,三星提出“半导体愿景2030”,就定下来未来10年内超越台积电的目标。为了实现这一目标,近年来可谓大刀阔斧的向前跨越。

种种举动在显现出三星大张旗鼓的雄心之外,一个贯穿其中的信号仍在印证代工业的制胜之道:先进工艺、良率与客户。这也是追赶台积电的必经之路。

1)率先转向3nm GAA

在先进制程领域,三星相比台积电总是棋差一招。例如,三星7nm量产之后,台积电宣布5nm量产,三星5nm量产之后台积电又宣布4nm试产,总是跟不上台积电的步伐。

直到3nm节点,三星看到了追赶的机会。

2022年6月,三星率先采用全环绕栅极GAA晶体管架构,发布了第一代3nm工艺,领先台积电量产,成为全球首个量产3nm的代工厂。

interlace,1

GAA架构相较于传统的FinFET架构,在性能、功耗和集成度等方面具有显著优势,被认为是未来半导体技术的重要发展方向。

据介绍,与5nm工艺相比,三星第一代3nm GAA芯片可以降低45%功耗、性能提升23%、芯片面积减少16%。

三星先于其他公司采用了3nm工艺的GAA架构,但似乎正面临良率低等问题。

有分析师警告说,虽然三星是第一家将3nm GAA芯片推向市场的公司,但它一直在努力解决“良率”问题。据接近三星的人士透露,其最简单的3nm芯片的良率仅为60%,远低于客户预期,并且在生产相当于苹果A17 Pro或Nvidia图形处理单元等更复杂的芯片时,良率可能会进一步下降。

良率问题直接影响了三星的订单,使用者寥寥。

前不久,三星电子向投资者透露,将过渡和推进光刻技术,计划2024年下半年向市场推出采用第二代3nm工艺技术(SF3)以及量产版4nm工艺(SF4X)的产品,进一步增强技术竞争力。

interlace,1

图源:SevenTech

据报道,三星电子已经着手制造第二代3nm制程的试制品,正在对SF3试制芯片进行性能和可靠性测试,并计划在六个月内将良率提升至60%以上。

这一动作表明,三星正全力以赴争夺客户,与台积电展开激烈的竞争。

如果SF3的产量、性能和良率能够保持稳定,并提供优惠价,原本转向台积电的客户可能会有机会回流,其中包括与高通的合作,力图打破高通下一代5G旗舰芯片可能由台积电独家代工的局面。此外,英伟达的H200、B100和AMD的MI300X等产品也可能采用三星的3nm制程。

同时,三星自己可以消化一部分产能,其综合成本相对于台积电来说要更低,对于行业客户来说,三星是芯片厂商的另一个选择。

此外,三星还公布了2nm工艺量产的详细计划以及性能水平,计划2025年实现应用在移动领域2nm工艺的量产,于2026和2027分别扩展到HPC及汽车电子。此外,据报道三星正准备确保下一代High-NA EUV光刻机的产量,预计这款设备将于2023年晚时推出原型,明年正式供货。

2)降价抢客户

近日,三星传出本季调降晶圆代工报价5%至15%,涵盖各种制程,以争取客户投片,拉高产能利用率。

尽管半导体迈入库存调节已超过一年,不少先修正的产品陆续有急单报到,但目前是传统淡季、台积电、三星、联电、力积电及世界先进等晶圆代工厂仍面临淡季庞大重担,首要之务是是撑起产能利用率,才能稳住财务表现,降价吸引客户投片是最快、而且有效的手段。

业界人士分析,三星这波降价主要考虑要靠降价抢台积电先进制程客户,同时也会对联电、世界先进等形成压力。

其实早在7nm阶段,三星就曾靠“大大低于”台积电的报价争取到英伟达,之后三星3nm GAA工艺的价格虽然也迎来了上涨,但是三星作为追赶者,它的3nm价格还是比台积电便宜不少,因此三星的3nm趁机获得了部分订单。

除了更低的价格,另一个驱使芯片设计厂商选择三星的原因,还在于台积电难以满足所有客户的订单需求。因为苹果作为台积电“财大气粗”的大客户自然获得订单优先权,毕竟台积电的先进制程产能也是有限的,承接苹果之后,自然难以满足所有客户的订单需求,彼时自然有一些订单会流向可以稳定供货的三星。

此外,三星还有一个隐形的利好,即芯片设计厂商绝不会希望芯片代工厂一家独大,因为倘若台积电独家称霸,必然会导致代工价格高企。

据BusinessKorea披露,三星目前在晶圆代工业务中,手机芯片的销售收入占54%,相关高性能计算(HPC)的AI服务器占19%,自动驾驶芯片等汽车芯片业务占11%。

预计到2028年,这个收入组合将会发生重大改变,目标是通过提高3nm及以下先进制程的完成度,确保获得更多AI芯片客户。三星计划将手机领域的收入占比降至30%以下,HPC的收入占比提高至32%,汽车芯片的收入占比提升到14%,外部客户数量预计会比今年增加一倍。

3)抢购EUV光刻机

对于晶圆厂商来说,决定先进制程量产的光刻机也有着同样的地位。特别是在进入5nm、3nm,甚至2nm之后,EUV光刻机的数量将直接决定能否持续取得市场领先地位。

晶圆代工巨头之间围绕EUV光刻机的争夺已经趋于白热化。

据悉,三星将在五年内从ASML采购50套设备,每套单价约为2000亿韩元,总价值可达10万亿韩元。此外,ASML于去年12月中旬与三星签署备忘录,将共同投资1万亿韩元在韩国建立研究中心,并将利用下一代EUV光刻机研究先进半导体制程技术。

此前,三星电子宣布计划到2025年拥有100台EUV光刻机。市场估计三星目前的EUV机群约为40台。如果这50台设备的能够顺利交付,那么三星到2028年将能够拥有约100台设备,这意味着三星将继续提高产量,并在代工方面取得新进展。

2.英特尔多路出击

就在三星与台积电围绕3nm先进制程激战正酣之际,沉寂已久的英特尔也在悄然卷入这场战局,在多个方面向台积电发起挑战。

1)IDM 2.0战略&转型

2021年,英特尔新任CEO帕特·基辛格(Pat Gelsinger)在上任后不久,宣布了“IDM 2.0”战略,英特尔对外开放自己的代工服务,成立代工服务IFS业务,重返芯片代工行业。

在2022年9月举行的英特尔技术创新峰会上,基辛格表示英特尔代工服务将开创“系统级代工的时代”,不同于仅向客户供应晶圆的传统代工模式,英特尔提供晶圆制造、封装、软件和芯粒。

晶圆制造:向客户提供其制程技术,如RibbonFET晶体管和PowerVia供电技术等创新。

封装:为客户提供先进封装技术,如EMIB和Foveros。

芯粒:英特尔的封装技术与通用芯粒高速互连开放规范(UCIe)将帮助来自不同供应商,或用不同制程技术生产的芯粒更好地协同工作。

软件:英特尔的开源软件工具,包括OpenVINO和oneAPI,加速了产品的交付,使客户能够在生产前测试解决方案。

这标志着从系统级芯片到系统级封装的范式转移,也是英特尔为了更加开放自身代工服务的一个体现。

除了以“系统级代工”来加固自己的代工堡垒之外。英特尔还将自身芯片设计与制造进行解耦,让英特尔实现更好的芯片设计和芯片制造能力,同时建立一个属于自己的芯片代工生态。

2)四年五代技术节点,率先迈入2nm时代

战略转型之外,英特尔在流程路线图方面也制定了一个雄心勃勃的目标,制定了“四年内实现五个技术节点”的快速小型化目标,将推进Intel 7、Intel 4、Intel 3、Intel 20A、Intel 18A五个流程节点,并计划在2024年赶上并超越友商。

interlace,1

英特尔“四年五个制程节点”,图源:英特尔官网

其中,Intel 20A是和台积电2nm对标的工艺,一旦英特尔成功突破Intel 20A量产,或有能力与台积电2nm一较高下。更先进的Intel 18A就是2nm以下的布局了。

英特尔的目标十分明确,试图在芯片代工行业与台积电,三星形成三足鼎立格局,在高端芯片市场占据一席之地。

2023年10月,英特尔宣布采用极紫外光刻(EUV)技术的Intel 4制程节点开始大规模量产。作为英特尔首个采用EUV光刻技术的制程节点,Intel 4与先前的节点相比,在性能、能效和晶体管密度方面均实现了显著提升。

目前,Intel 7和Intel 4已实现大规模量产;Intel 3正在按计划推进,此前目标是计划于2023年底量产。

采用Ribbon FET全环绕栅极(GAA)晶体管和PowerVia背面供电技术的Intel 20A和Intel 18A同样进展顺利,目标是2024年或2025年上市。英特尔将于不久后推出面向IFS客户的Intel 18A制程设计套件(PDK)。

如果计划顺利,这将使英特尔成为第一家成功转向下一代工艺的芯片制造商,从而在全球半导体市场上占据领先地位。

从核心技术的角度来看,英特尔的18A工艺无疑具有划时代的意义。它将使得芯片的性能得到显著提升,同时降低功耗和缩小芯片尺寸。这一技术的成功应用,将为英特尔赢得更多高端芯片市场的份额,并进一步巩固其在全球半导体行业的领导地位。

计划通过Intel 18A工艺的推出和免费测试生产策略的实施,英特尔似乎正努力重振旗鼓,意图重返半导体生产的领先地位。

值得一提的是,为了吸引更多芯片设计公司的合作,英特尔甚至提供了免费测试生产的机会。这一策略不仅展示了英特尔对于自身技术的自信,也揭示了其希望通过广泛合作来加速技术推广和应用的市场策略。

通过提供免费测试生产机会,英特尔不仅降低了芯片设计公司的研发成本和风险,还为其自身积累了宝贵的市场经验和用户反馈。这将有助于英特尔在未来的产品设计和生产中更加精准地把握市场需求和技术趋势。

3)押宝背面供电技术

英特尔正在从传统的FinFET工艺快速发展到基于全环绕栅极(GAA)晶体管和BSPDN(背面供电网络)技术。

背面供电是一项颇具潜力的创新技术,可优化功率和时钟的背面功率传输。英特尔成为首家将其实践应用的公司,据悉Intel 18A将采用BSPND技术,PowerVia是英特尔独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输,通过将电力传送到芯片背面而非正面,为热管理和整体性能提供了优势。

interlace,1

有效的散热和电力传输有助于优化芯片布局和设计,改进功能和热量分布,这一创新的实施使英特尔再次站在半导体制造的最前沿。

基辛格指出,英特尔在背面供电技术方面提供了更好的面积效率。这意味着更低的成本、更好的动力输出和更高的性能。他认为Intel 18A略微领先于N2,因为其晶体管更强大且功率传输能力更强。此外,与台积电相比,英特尔可以提供更有竞争力的价格优势。

据了解,台积电和三星可能会在一两年后跟随英特尔进入背面供电领域。

4)拿下首台High-NA EUV光刻机

英特尔近日宣布,已经接收市场首套具有0.55数值孔径(High-NA)的ASML极紫外(EUV)光刻机,预计在未来两到三年内用于Intel 18A工艺技术之后的制程节点。

相较之下,台积电则采取更加谨慎的策略,业界预计台积电可能要到A1.4制程,或者是2030年之后才会采用High-NA EUV光刻机。

据了解,ASML将在2024年生产最多10台新一代High-NA EUV光刻机,其中英特尔就预定了多达6台。业界指出,至少在初期,High-NA EUV光刻机的成本高于Low-NA EUV,这也是台积电暂时选择观望的原因。台积电更倾向于采用成本更低的成熟技术,以确保产品成本和稳定性。

但需要注意的是,数值孔径(NA)是光刻机光学系统的重要指标,直接决定了光刻的实际分辨率和最高能达到的工艺节点。ASML的高数值孔径EUV光刻机,将NA值从0.33增加到0.55,更强的聚光能力意味着能够处理更加精细的几何尺寸,同时这也是继续推进半导体制程进化的路线之一。

interlace,1

因此,英特尔作为第一家使用高数值孔径工具启动大批量生产的公司,这将为其带来多项优势。比如晶圆厂工具生态系统将不可避免地遵循其要求,上述要求可能会转化为行业标准,这可能会使英特尔比台积电和三星更具优势。

据基辛格透露,英特尔最初就计划利用新光刻机投产Intel 18A工艺,早在2022年1月,英特尔便抢先向ASML下定TWINSCAN EXE:5200,直接将下一代光刻机上市作为“超车”的冲锋号。但因为时间上等不及,只能改用已有的0.33 NA NXE:3600D/3800E叠加双重曝光。

因此,此次率先拿下0.55 NA EUV光刻机,领先对手几季部署对英特尔而言是很大的优势。

3.台积电的“守城”之道

作为半导体行业的领导者,台积电在过去的30多年中立下了赫赫战功,成为世界第一大芯片代工企业。

在面对三星和英特尔带来的巨大挑战和压力时,台积电需要审时度势并采取有效的措施。

1)先进制程,台积电的“桥头堡”

几十年来,芯片制造商一直致力于制造更加紧凑的产品。芯片上的晶体管越小,能耗越低,速度也越高。

随着芯片变得越来越小,从一代或“节点”工艺技术过渡到下一代工艺技术的挑战日益加剧,任何在下一代先进半导体领域占据技术领先地位的公司都将处于主导地位。

在当前芯片代工领域,制程工艺依然是各大代工厂最大的核心竞争力。三星和英特尔都在加紧布局下一代制程工艺,希望从希望减少对台积电依赖的潜在客户中受益,无论是出于商业原因还是地缘政治因素的考量。

不过,针对三星和英特尔的追击,台积电董事长刘德音向业界表示“客户还是看技术的质量”,透露出对台积电先进制程技术与良率优势的信心。

随着苹果已经用上台积电3nm制程的芯片,更是帮助其进一步奠定了行业影响力。

台积电目前规划的3nm"家族"分别是N3、N3E、N3P、N3X、N3 AE,其中N3是基础版;N3E是改进版,成本进一步优化;N3P性能将进一步提升,计划2024年下半年投产;N3X聚焦高性能计算设备,计划2025年进入量产阶段;N3 AE专为汽车领域设计,具备有更强的可靠性,将有助客户缩短产品上市时间2~3年。

interlace,1

台积电工艺节点路线图

据官方介绍:相较于N5制程技术,N3制程技术的逻辑密度将增加约70%,在相同功耗下频率提升10-15%,或者相同频率下功耗降低25-30%。台积电3nm工艺(N3)晶体管密度大约为294.3MTr/mm2,远要大于三星第二代3nm工艺的晶体管密度(三星第二代3nm工艺晶体管密度约为194.6MTr/mm2)。

同时,对于英特尔率先计划迈入2nm的雄心壮志,台积电总裁魏哲家似乎并不感到担忧。他在去年10月份的内部评估中指出,台积电最新的3nm工艺(已上市)在功率、性能和密度方面可与Intel 18A相媲美。这意味着,至少在技术层面,台积电并未落后于英特尔。

台积电总裁魏哲家表示,根据内部评估,N3P工艺在性能与能效上与Intel 18A技术相当,但上市时间更早,技术上也更为成熟,而且成本还要低得多。同时还重申台积电的N2工艺(2nm)优于竞争对手的Intel 18A,推出时将成为半导体行业最先进的技术。

据悉,台积电计划在2nm制程节点采用GAAFET晶体管,同时将会在2026年发布的N2P工艺引进Nanosheet GAA晶体管并添加背面电源轨技术,制造的过程仍依赖于现有的EUV光刻技术。台积电认为引入新一代技术后,N2工艺将在功率、性能、面积上全面胜出。

据知情人士透露,前不久台积电已经向苹果和英伟达等一些最大客户展示了其“N2”原型的工艺测试结果。

毋庸置疑的是,台积电在先进制程的步伐确实要更快且更稳,但凭借多年来积累的丰富经验和丰厚订单,无疑为其提供了巨大的底气保障和客户基础,因此想要在先进制程领域挑战台积电绝非易事。

综合来看,在这场先进制程的竞赛中,台积电、三星和英特尔都在积极投入研发,力图率先实现技术突破。台积电凭借其丰富的技术积累和强大的研发能力,在2nm技术的研发上展现出了强大的实力;三星则通过率先采用GAA晶体管架构,在技术领先时间和架构过渡方面赢得了优势;而英特尔则通过推出Intel 18A工艺节点,展示了其在半导体技术领域的创新能力。这三家公司的技术竞赛无疑将推动2nm制程技术的快速发展。

interlace,1

图源:TRENDFORCE

除了技术创新外,市场策略也是决定竞争胜负的关键因素。台积电通过向苹果等大客户展示2nm原型芯片,稳定了其高端市场份额;而三星则采取低价策略,吸引包括英伟达在内的大牌客户,意图扩大市场份额;英特尔则通过提供免费测试生产机会,与芯片设计公司建立广泛的合作关系,共同推动2nm技术的发展。这三家公司的市场策略各具特色,将在未来形成激烈的市场竞争。

有分析师认为,台积电在成本、效率和信任方面仍然具有优势,将保持其在该领域的全球霸主地位,但三星电子和英特尔已将即将到来的2nm技术视为缩小与台积电差距的重要机会。

2)先进封装,台积电的另一把尖刀

在摩尔定律逐渐放缓的趋势下,仅仅从微缩晶体管,提高密度以提升芯片性能的角度正在失效。对此,先进封装成为后摩尔时代弥补芯片性能和成本的重要解决方案之一。

先进封装技术趋势在于提高I/O数量及传输速率,以实现芯片间的高速互联。为了适配高性能运算、AI、5G等应用的最新需求,封装形态从2D迈向2.5D、3D的进程不可避免。

市场格局中,台积电是全球先进封装技术的领军者,旗下3D Fabric拥有CoWoS、InFO、SoIC等多种先进封装工艺。

interlace,1

其中,CoWoS是台积电最经典的先进封装技术之一。2011年至今,台积电的CoWoS工艺已经迭代至第五代,期间中介层面积、晶体管数量、内存容量不断扩大。英伟达、AMD、博通、Marvell等都是台积电CoWoS工艺的大客户。 

interlace,1

台积电CoWoS结构示意图

自去年AIGC的爆火之后,CoWoS也随之成为行业焦点。据悉,继英伟达2023年10月确定扩大下单后,苹果、AMD、博通、Marvell等重量级客户近期也对台积电追加CoWoS订单。

为应对大客户需求,台积电加快CoWoS先进封装产能扩充脚步。援引台积电消息,预计2023年CoWoS产能将较2022年实现倍增,2024年CoWoS产能将在2023年基础上再次实现倍增。2022年台积电CoWoS营业收入已经占总营业收入5%以上,并且将以20%的年增速保持增长,高于台积电预估的总营业收入年增速10%。

此外,台积电还开发了廉价版的CoWoS技术,即InFO技术,降低了单位成本和封装高度。这也是InFO技术在移动应用和HPC市场成功的重要原因,为台积电后来能独占苹果A系列处理器打下了关键基础。

除了CoWoS和InFO,2018年,台积电首度对外界公布了创新的系统整合单芯片(SoIC)多芯片3D堆叠技术SoIC。SoIC是基于台积电的CoWoS与多晶圆堆叠(WoW)封装技术开发的新一代创新封装技术,这标志着台积电已具备直接为客户生产3D IC的能力。作为业内第一个高密度3D chiplet堆叠技术,SoIC被看作“3D封装最前沿”技术。

相较2.5D封装方案,SoIC的凸块密度更高,传输速度更快,功耗更低,或将成为行业未来发展的主要封装技术趋势。

当前,台积电也正在积极上调SoIC的产能计划,计划到2024年年底,月产能跃升至5000-6000颗,以应对未来AI和HPC的强劲需求。当前,AMD和苹果对台积电的SoIC产品非常感兴趣,前者是台积电SoIC的首发客户,后者计划让SoIC芯片搭配热塑碳纤板复合成型技术,拟应用在Mac、iPad等产品上。

可见,台积电凭借其领先的先进封装技术吃尽红利。当然,英特尔,三星两位强敌也丝毫不懈怠。

英特尔通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,在互连密度、功率效率和可扩展性三个方面持续精进。在今年5月,英特尔发布了先进封装技术蓝图,计划将传统基板转为更为先进的玻璃材质基板,以实现新的超越;而且,英特尔也在布局硅光模块中的CPO(共封装光学)技术,通过玻璃材质基板设计,利用光学传输的方式增加信号交换时的可用频宽,以优化算力成本。

interlace,1

英特尔先进封装路线图(图源:英特尔官网)

2023年英特尔扩增了其位于马来西亚的先进封装产能,目标是在2025年将先进封装的产能较当前提升4倍。

结合此前英特尔在先进制程上一系列动态,外界预期,英特尔将结合先进制程与先进封装两条线,希冀在晶圆代工领域实现“1加1大于2”的效果。

三星在2.5D/3D先进封装技术领域也有布局,并已经推出I-Cube、X-Cube等先进封装技术。针对2.5D封装,三星推出的I-Cube技术可以和台积电的CoWoS技术相媲美。针对3D封装,三星在2020年推出X-Cube技术,将硅晶圆或芯片物理堆叠,并通过硅通孔(TSV)连接,最大程度上缩短了互联长度,在降低功耗的同时提高传输速率。 

另外,三星计划在2024年量产可处理比普通凸块更多数据的X-Cube封装技术,并预计2026年推出比X-Cube处理更多数据的无凸块型封装技术。拥有从存储器、处理器芯片的设计、制造到先进封装业务组合的优势。

interlace,1

三星先进封装技术路线图

(图源:方正证券研究所)

2nm是工艺的决战,也是先进封装的交锋。先进封装与制程工艺可谓相辅相成,在提高芯片集成度、加强互联、性能优化的过程中扮演了重要角色,是性能持续提升的重要保障。对于在工艺节点时间上的争夺,先进封装已成为三大巨头“不可忽视”变数。

但事实上,由于台积电CoWoS先进封装技术的领先性,英特尔和三星在当前的先进封装之争中并不占优势,为了争夺未来先进封装市场份额,正在开发更先进的封装技术展开竞争。

更多先进封装详细技术细节和厂商布局情况,笔者在前文《先进封装大战,升级!》中有过详细介绍,感兴趣的朋友可以跳转了解,在此不过多赘述。

3)客户优势显著

芯片领域的竞争不仅是技术上的挑战,也是商业上的博弈。各大芯片制造商都在寻求与客户建立长期的合作关系,以确保其未来的收入和利润。

这也是台积电多年来高枕无忧的关键所在。

据了解,台积电为几乎全世界主要芯片开发商制造芯片,包括苹果、高通、英伟达、AMD、联发科、赛灵思、索尼等,紧密地客户关系给台积电提供了强大的抵御外来风险能力。

在目前由英伟达和AMD主导的AI训练和推理领域最重要的AI处理器市场中,台积电更是生产了这两家公司的整个芯片组合。

interlace,1

从技术分类来看,先进制程工艺对台积电业绩贡献较为明显。台积电3nm制程工艺在2023年第四季度占晶圆总收入的15%,5nm和7nm分别占35%和17%;先进制程(7nm及以下)占晶圆总收入的67%。

根据其2023年Q4财务报告显示,台积电3nm芯片销售额达到总销售额的15%,7nm及以下技术的销售额占比高达67%。

interlace,1

其中,5nm是台积电最大营收的制程工艺,这一制程工艺在量产初期的主要客户是苹果,随后又有更多的客户转入,包括AMD、高通、联发科、英伟达、赛灵思等,众多大客户的争抢导致台积电5nm连连爆单。随后随着ChatGPT的爆火,带动AI芯片和服务器处理器芯片、HPC领域客户投片量增加,5nm需求再次直线拉升。台积电的7nm技术不仅适用于PC、平板电脑和智能手机,还适用于数据中心、汽车以及为人工智能执行复杂的训练和推理。

Counterpoint Research指出,凭借苹果的推动,3nm制程工艺在整体晶圆收入中占比6%,随着高通、联发科和更多高性能计算客户在2024年采用3nm制程,预计将有进一步增长。

另一方面,台积电的良率优势,也是其在先进制程上获得客户青睐的关键原因。

众所周知,良率是检验新工艺是否真正量产以及具备竞争力的关键,良率一旦不达标,制造成本大增,没有商业可行性。

在实际应用中,由于台积电稳定的良率也使其收获了多笔从三星等其他代工厂转来的订单。比如在10nm和7nm制程刚刚量产的时候,高通和英伟达就分别把骁龙855、865和7nm制程GPU芯片转移到了台积电,随后在4nm制程兴起时,高通又将骁龙8Gen1Plus的生产订单转给了台积电。

据台积电此前透露,3nm工艺的良率跟5nm工艺初期量产时的良率相当,台积电跟客户联合研发新品,并开始大量生产。从台积电的表态来看,虽然没有具体公布良率多少,但是他们的3nm良率显然比较靠谱,至少客户是同意开始生产的,意味着商业上是划算的。

然而,尽管台积电在技术和良率上拥有领先优势,一些迹象显示,客户们仍然有意考虑选择其竞争者,客户也在寻求多元化的供应商,以降低对单一芯片制造商的依赖。

一位行业资深分析师表示,出于效率和竞争需要,台积电仍然会是业内顶尖公司的第一选择,但是,对于激烈竞争中的公司们来说,需要其他代工厂来分散风险。

但英特尔和三星的业务结构引起了许多潜在客户对可能的技术或设计泄露的担忧,因为三星和英特尔既要为自己生产芯片,又要寻求为其他芯片领域的竞争对手如高通、AMD和英伟达提供芯片代工服务,存在直接竞争的关系。

尽管三星和英特尔拆分了代工业务,强调保护客户敏感设计数据,但客户选择其代工难免会有技术或设计泄露的担心。

4.台积电无宝不落,但变数仍在

综合三巨头布局策略和方式来看,尤其是在三星和英特尔率先发力下一代先进制程的情况下,台积电被广泛认为是一个保守技术开发者,其倾向于确保新技术的成熟和可靠性,然后再进行部署,而不是急于将新技术推向市场。

从实际的市场表现来看,台积电此举可以降低技术失败的风险,提高其芯片的产量和质量,从而确保客户的满意度。

例如,三星在2018年开始在其7nm工艺中使用EUV光刻机,然而台积电选择等待。直到EUV工具的稳定性和成熟性得到确认,以及相关问题得到解决或至少得到确定,才在2019年的N7+工艺中开始使用EUV。

此后,在FinFET向GAA工艺的过渡上,台积电依旧重操这一模式。凭借工艺领先性和生产良率上的技术优势和积累完全有实力与采用GAA技术架构的三星抗衡。

在英特尔大力押注的BSPDN背面供电技术上,台积电依旧不紧不慢,计划将在2026年底才开始大规模生产的N2P上加入。

这种谨慎的方法有助于台积电确保其制程技术的稳定性和可预测性,从而提供高质量的芯片给其客户。

但从先进封装领域来看,台积电则一改常态,积极布局率先落地,在先进制程与先进封装的组合拳下,为其带来了新的增长浪潮。

在这张弛有度的策略下,充分凸显着台积电的战略哲学和独到眼光。在其看准的蓝海赛道,台积电始终敢为人先,无论是十年前率先试产16nm FinFET制程技术超越英特尔,还是五年前部署先进封装收获如今的AI红利,台积电都精彩演绎了所谓凤凰无宝不落。

而在其保持较大优势的先进制程领域,纵然面对三星和英特尔的步步紧逼,台积电没有选择盲目激进,反而采取了先观察再跟随的策略,在做好充分的准备和规划后“亦步亦趋”,凭借自身强大的产能、良率和客户基础的基本盘优势,维持不败之地。

“英特尔曾经是保守派”,如今情况似乎发生了转变。

古人有云“打江山易,守江山难”,台积电并没有成为代工市场绝对的赢家。

台积电一家的产能,纵然难以维持庞大的先进制程市场。哪怕三星和英特尔的芯片会陷入性能或良率“滑铁卢”的风险,也依旧会有大批厂商在产能和价格因素的驱动下,愿意去“尝尝螃蟹”。

未来,围绕先进工艺芯片之争将在台积电、三星和英特尔之间展开,代工三巨头的拉锯战也将成为推动摩尔定力继续前行的动力,推动下一个“弯道”的到来。

每一个车手都明白弯道代表着太多可能,当一个时代开始转弯,领先者可能会落后,落后者可能会超越。

本文来自微信公众号“半导体行业观察”(ID:icbank),作者:L晨光,36氪经授权发布。


About Joyk


Aggregate valuable and interesting links.
Joyk means Joy of geeK